< Terug naar vorige pagina

Publicatie

Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation

Boekbijdrage - Boekhoofdstuk Conferentiebijdrage

Two major trends in high-performance computing, namely, larger numbers of cores and the growing size of on-chip cache memory, are creating signiU+FB01cant challenges for evaluating the design space of future processor architectures. Fast and scalable simulations are therefore needed to allow for suU+FB03cient exploration of large multi-core systems within a limited simulation time budget. By bringing together accurate high-abstraction analytical models with fast parallel simulation, architects can trade oU+FB00 accuracy with simulation speed to allow for longer application runs, covering a larger portion of the hardware design space. Interval simulation provides this balance between detailed cycle-accurate simulation and one-IPC simulation, allowing long-running simulations to be modeled much faster than with detailed cycle-accurate simulation, while still providing the detail necessary to observe core-uncore interactions across the entire system. Validations against real hardware show average absolute errors within 25% for a variety of multi-threaded workloads; more than twice as accurate on average as one-IPC simulation. Further, we demonstrate scalable simulation speed of up to 2.0 MIPS when simulating a 16-core system on an 8-core SMP machine.
Boek: 2011 International conference for high performance computing, networking, storage and analysis
Aantal pagina's: 1
ISBN:9781450307710
Jaar van publicatie:2011
Toegankelijkheid:Closed