< Back to previous page

Project

Light-matter interactions in photoresists for Extreme Ultraviolet Lithography

Society in the XXIst century is significantly impacted by the widespread use of electronic devices, creating opportunities for the economy, bringing societal transformations and generating political challenges. From personal computers to smartphones and cloud servers, as the years have passed the calculation power has increased exponentially whilst the market price of simple electronics has simultaneously decreased, making them accessible to almost everyone.

These incredible advances were made possible thanks to the continuous research and development in microelectronics fabrication techniques. When the transistor effect was discovered in the 1940s it was impossible to predict the immense impact it would have on society today. With the same hopes for the future, heavy focus is put on today’s research on microelectronics in view of making tomorrow’s internet of things, smart cities, and other yet unknown applications a reality.

Over the past 60 years, the continuous reduction of the size of transistors has allowed to pack more of the latter in the same volume, at almost the same total cost. A lower cost per transistor induced by cost-effective dimensional scaling generates revenue that can fuel further research to continue this scaling.

In order to draw these microscopic electronic circuits, lithography is used. This fabrication technique uses light to transfer a circuit pattern from a mask to a future chip. The conversion of the light signal into matter modification is done by a photosensitive material: the photoresist.

With the continuous shrinkage of the size of the transistor, the wavelength of the light used in lithography is reduced due to diffraction effects, following the decrease of the pattern dimension. In order to continue scaling down, photolithography needs to move from the current deep ultra violet (DUV) light at 193nm to extreme ultra-violet (EUV) light at 13.5nm. Aside from the technical challenges in terms of light generation at the source and clean optics for transportation, this new wavelength of light also impacts the photoresist needed for the process.

While photoresist reactions are well studied for lithography at 193nm, there is still a lack of knowledge on the exposure mechanism at EUV wavelength due to the increased energy carried by the photons. Furthermore, specific challenges appear as the resist volume decreases due to the pattern dimensions getting closer to the size of molecules. The discrete nature of both matter and light starts to play a significant role.

Large scale production imposes targets in productivity and yield that translates into photoresist performance target, expressed by its resolution, roughness and sensitivity. The resolution is the smallest pitch at which features can be printed, the roughness quantifies the variability of a rough, non-ideal shape, and the sensitivity describes the amount of light energy required for patterning.

Further improvement of photoresist imaging performance is needed for a wide use of EUV lithography for high volume manufacturing. Such improvements require understanding of the mechanism involved in the photoresist patterning process. This thesis aims, in a first phase, to contribute to this understanding, and in a second phase to propose and test photoresists that are alternatives to the conventional resist platform.

The process for current conventional EUV resists, chemically amplified resists (CAR), consists of four steps: the photoresist coating; the exposure step where the photons are absorbed and generate acid; the post exposure bake where these acids diffuse and catalyse a chemical reaction in the resist; and the development step where the reacted resist is removed by a solvent.

In the first phase of this work, the last two process steps were studied. For the development step, a customized tool allowing to measure the dissolution properties of photoresists was validated. It showed that within the conventional EUV resists, different families have different development mechanisms, impacting their resolution and roughness. The post exposure bake step was then studied, with a focus on the impact and limitations of acid diffusion. The experiments performed demonstrated that reducing the acid diffusion improves photoresist resolution, that a controlled diffusion can improve roughness, and that longer bake steps improve the sensitivity.

In the second phase, alternative photoresists were explored. First, a proposition to improve conventional organic resist was tested: organo-metallic molecules were added to the resist formulation, aiming at improving the sensitivity by increasing EUV light absorption. Adding these sensitizer molecules effectively improved the resist sensitivity, but often at cost of roughness. Simultaneously during these tests, insight in the exposure mechanism was gained. A second alternative photoresist mechanism, called-multi trigger resist, was tested on an EUV scanner. It is based on a different mechanism, where different monomers crosslink upon exposure. The concept was explored by varying the formulation, showing patterning potential for non-traditional chemistries in EUV lithography.

Finally, the last part of this work was focused on stochastic nano-failures, a recently identified challenge induced by the small target dimensions. Stochastic failures for CAR resists were experimentally reported and quantified. The origin of this phenomenon is discussed, pointing to the importance of photon scarcity, but also the impact of photoresist design.

The insights given by this work allow to draw conclusions on the performance and limitation of conventional photoresists, but also on the potential and challenges for alternative photoresists to be used in future high-volume manufacturing.

Date:15 Mar 2015 →  3 Apr 2019
Keywords:Photolithography, EUV lithography, Photoresist, Sensitivity
Disciplines:Nanotechnology, Process engineering, Polymeric materials, Organic chemistry, Nanomanufacturing
Project type:PhD project